In JK flip flop, When J=1 and K=0, the output is set to logic ____

34. In JK flip flop, When J=1 and K=0, the output is set to logic ____.

  1. 0
  2. 1
  3. Undefined
  4. Toggles between Previous State

Answer: B) 1

Explanation:

When J=1 and K=0, the output is set to logic 1.

Comments and Discussions!

Load comments ↻






Copyright © 2024 www.includehelp.com. All rights reserved.