Signals are assigned by using which of the following assignment operator in VHDL?

34. Signals are assigned by using which of the following assignment operator?

  1. :=<
  2. :=
  3. <=:
  4. <=

Answer: D) <=

Explanation:

Signals are assigned by the assignment operator "<=".

Comments and Discussions!

Load comments ↻






Copyright © 2024 www.includehelp.com. All rights reserved.